Verilog基础语法--运算符【常用的几种】

阿里云国内75折 回扣 微信号:monov8
阿里云国际,腾讯云国际,低至75折。AWS 93折 免费开户实名账号 代冲值 优惠多多 微信号:monov8 飞机:@monov6

概述

主要复习常用的一些运算符

逻辑运算符
逻辑与&&【双目运算符】
逻辑或|| 【双目运算符】
逻辑非 【单目运算符】
其中的优先级要大于&& 和 ||

在这里插入图片描述

关系运算符
有4个> >= < <=
返回值为0或者1,0表假1表真如果有操作数是不定值那么比较结果也是不定值。

等式运算符
也是由4个==等于=不等于===等于==不等于都是双目运算符优先级也是相同的。
=== 对操作数比较时若操作数中有不定值X或高阻值Z结果都看为不定值。
===== 对操作数比较时对某些位不定值X和高阻值Z必须完全一致其结果才是1或者0常用于case表达式的判别。

===== 的区别如下
在这里插入图片描述
在这里插入图片描述
等式运算符真值表
在这里插入图片描述

移位运算符
左移<<如a<<n相当于a乘以2^n
右移>>如a>>n相当于a除以2^n
移位操作时一般用0来填补空出来的地方

位拼接操作符
符号{}
经常用于寄存器的移位操作比如reg [7:0] shift; reg [1:0] a;
shift <= {shift[5:0],a};通过这种操作既可以把a的值刷新到shift中。

缩减运算符
按位进行与或非&|~也属于单目运算符对单个操作数进行与或非递推运算最后的结果是1位的二进制数。
操作流程是这样的将操作数的第一位和第二位进行与或非然后计算的结果再和第三进行与或非计算以此类推直到最后一位。

在这里插入图片描述

优先级问题
在这里插入图片描述

阿里云国内75折 回扣 微信号:monov8
阿里云国际,腾讯云国际,低至75折。AWS 93折 免费开户实名账号 代冲值 优惠多多 微信号:monov8 飞机:@monov6