FPGA学习 Vivado使用篇之ILA(逻辑分析仪)

阿里云国内75折 回扣 微信号:monov8
阿里云国际,腾讯云国际,低至75折。AWS 93折 免费开户实名账号 代冲值 优惠多多 微信号:monov8 飞机:@monov6

ILA(Integrated Logic Analyzer)集成逻辑分析仪允许用户在FPGA设备上执行系统内的调试。作为一名FPGA工程师掌握在线调试工具进行时序分析是必备的职业技能之一。

ILA通过一个或者多个探针Probe来实时抓取FPGA内部数字信号的波形分析逻辑错误的原因帮助debug。

下面我将介绍Vivado中ILA的两种使用方式

一、IP核方式调用

在vivado中打开IP核目录IP Catalog在搜索框中输入ILA不区分大小写按图示方式进行选择即可。
IP核中查找ILA
在等待一段加载时间后我们会看到下图所示的打开界面ILA设置

  • 探针数根据我们要测量的信号数进行选择选择后会在左边的模块框内看到对应的端口若无法正常显示取消左上角Show disabled ports的√即可
  • 采样数据深度可根据计算机资源进行设置可以偏大一般情况下选择默认的1024即可
  • 其他按默认设置。

下一步对测量信号的位宽进行设置

由于我们要检测的信号为“reg[31:0] timer_cnt”和“output reg[3:0] led”因此位宽选择32和4。在这里插入图片描述 在这里插入图片描述
至此ILA已经生成我们可在Source区看到我们已经命名的ILA点击其下的.v文件找到如下例化模板将其添加到需要测量的模块中。
在这里插入图片描述
在这里插入图片描述

将其加入到模块中后我们需要进行修改如下图所示具体的verilog语法请参考夏宇闻老师的《Verilog经典教程第三版》。
在这里插入图片描述
然后生成比特流文件Generate Bitstream并将打开硬件调试Open Hardware Manager。

二、直接添加调试语句 (*MARK_DEBUG=“true” *)

在这里插入图片描述
将此语句添加到我们要测量的信号前如(* MARK_DEBUG=“true” *)output reg [3:0] led 。

而后进行综合Run synthesis在综合完成后点击“Set Up Debug”打开后逐步点击next即可。
在这里插入图片描述
在这里插入图片描述
硬件调试部分在此就不进行演示了。

至此就是ILA部分的全部介绍啦

阿里云国内75折 回扣 微信号:monov8
阿里云国际,腾讯云国际,低至75折。AWS 93折 免费开户实名账号 代冲值 优惠多多 微信号:monov8 飞机:@monov6