基于FPGA的UDP 通信(四)

阿里云国内75折 回扣 微信号:monov8
阿里云国际,腾讯云国际,低至75折。AWS 93折 免费开户实名账号 代冲值 优惠多多 微信号:monov8 飞机:@monov6

952617a70a66434a4919eca65888c5d3.png


引言

前文链接

基于FPGA的UDP 通信一

基于FPGA的UDP 通信二

基于FPGA的UDP 通信三

本文基于FPGA与MATLAB作千兆以太网通信模块UDP数据接收实验板级验证FPGA接收上位机数据。

设计条件

FPGA芯片xc7a35tfgg484-2

网络芯片PHYRTL8211支持1000M/100M/10M

MAC与PHY接口GMII

接口类型RJ-45

Vivado版本2018.3

Matlab版本R2022a

电脑小新Pro16 2022 酷睿版 笔记本

转接口绿联USB转千兆以太网 转接器


MATLAB侧

在实时脚本中编写代码

clc;
clearvars;

% UDP 参数设置
LocalHost = "192.168.8.1";
LocalPort = 6009;
Timeout = 10;
% 创建UDP端口对象
U_UDP_PORT_OBJ = udpport("ByteOrder","little-endian","LocalHost",LocalHost,"LocalPort",LocalPort,"Timeout",Timeout);

% 写数据
DstHost = "192.168.8.2";
DstPort = 6001;
write(U_UDP_PORT_OBJ,1:101,"uint8",DstHost,DstPort);
% 清除缓存
flush(U_UDP_PORT_OBJ);

clear U_UDP_PORT_OBJ

如有需要可以在此下载MATLAB实时脚本文件

链接百度网盘 请输入提取码

提取码UDP0

FPGA侧

配置UDP参数并在顶层例化添加XDC约束综合实现以后产生比特流。

参数设置

UDP接收模块例化

b01660e1e02a62f94295875f791d4b7d.png

电脑侧

IP设置

DOS绑定

鼠标右键以管理员身份运行命令提示符

输入如下命令显示所有网络连接

netsh i i show in

查询到与FPGA连接的以太网 Idx此处我的Idx为18用DOS命令绑定目的IP和目的MAC地址用如下的命令

netsh -c i i add neighbors 18 192.168.8.2 00-01-02-03-04-05

Idx 后面第一组数据为 FPGA板的IP地址第二组数据为FPGA板的MAC地址。

利用如下命令查询绑定结果

arp -a

传输验证

数据抓取

MATLAB 发送1~101共101个数据FPGA侧利用 ILA抓取接收数据

演示视频

C站基于FPGA的千兆以太网通信——UDP接收演示

B站基于FPGA的千兆UDP通信演示视频


阿里云国内75折 回扣 微信号:monov8
阿里云国际,腾讯云国际,低至75折。AWS 93折 免费开户实名账号 代冲值 优惠多多 微信号:monov8 飞机:@monov6